Glossar

A

Absorption

Aufnahme von Molekülen einer Substanz direkt in eine andere Substanz. Die Absorption kann ein physikalischer oder ein chemischer Prozess sein; die physikalische Absorption wird von Faktoren wie Löslichkeit und Dampfdruckverhältnissen bestimmt, während bei der chemischen Absorption die absorbierte Substanz chemisch mit dem absorbierenden Medium reagiert.Adsorption

Anlagerung von Molekülen von Flüssigkeiten, Gasen und gelösten Substanzen an Festkörperflächen, zu unterscheiden von der Absorption.ALCVD

Atomlagen-Dampfabscheidung; siehe Atomlagenabscheidung, ALDALD

Atomlagenabscheidung, ein Abscheideverfahren, bei dem die Abscheidung jeder Atomschicht durch eine zuvor abgeschiedene Schicht Vorstufenmoleküle (Precursors) gesteuert wird; die Precursor- und die eigentlichen Schichtmoleküle werden abwechselnd in den Reaktor eingeleitet; dieses Verfahren ergibt eine vollständige Stufenabdeckung und sehr gute Konturtreue; auf diese Weise werden beispielsweise neuartige Dielektrika für MOS-Gates abgeschieden.ALE

Atomlagenepitaxie, ein Verfahren der Atomlagenabscheidung zur Herstellung von Epitaxieschichten.Anisotropes Ätzen

Ätzverfahren, bei dem die Ätzrate senkrecht zur Oberfläche erheblich höher ist als parallel zur Oberfläche; es entsteht keine Unterätzung, d. h., Ätzmuster werden lateral nur minimal verzerrt; unbedingt erforderlich, um kleinste Geometrien erzeugen zu können.APCVD

Atmospheric Pressure Chemical Vapor Deposition, chemische Abscheidung aus der Dampfphase bei Atmosphärendruck; ergibt meist eine im Vergleich zu CVD bei Niederdruck (LPCVD) geringere Schichtqualität und Konturtreue.

Ä

Ätzen

Abtrag von Material (etwa von Oxiden oder anderen dünnen Schichten) durch chemische Reaktion, Elektrolyse oder Plasma (Ionenbeschuss).

B

Batchprozess

Verfahren, bei dem mehrere Wafer gleichzeitig bearbeitet werden; Gegensatz zur Einzelbearbeitung der Wafer; die thermische Oxidation im Ofen (horizontal oder vertikal) ist z.B. ein klassisches Beispiel für einen Batchprozess.BPSG

Borphosphorsilicatglas; Siliciumdioxid (Quarzglas) dotiert mit Bor und Phosphor, um die Erweichungstemperatur von Glas (Oxid) von etwa 950 ºC für reines SiO2 auf etwa 500 ºC für BPSG zu senken; wird zur Planarisierung der Oberfläche verwendet; Abscheidung durch CVD.Bubbler

Behälter mit einer Flüssigkeit, durch die ein Inertgasstrom geleitet wird, um verdampfte Flüssigkeit mit einem bestimmten Partialdruck in ein Prozessrohr oder eine Reaktionskammer zu überführen.

C

CAIBE

Chemisch unterstütztes IonenstrahlätzenCBE

ChemiestrahlepitaxieChemisches Ätzen

Ätzverfahren durch chemische Reaktion zwischen einem chemisch reagierenden Ätzstoff und dem zu ätzenden Material; isotrop und selektiv.Chemisorption

Adsorption von Substanzen (Adsorbaten) auf Festkörperflächen durch chemische Bindung des Absorbats an das Substrat (Oberfläche).CMOS

Complementary Metal Oxide Semiconductor. Eine Logikfamilie mit Kombinationen von MOS-Transistoren mit n- und mit p-Kanal.CMP

Chemisch-mechanisches Polieren, chemisch-mechanische Planarisierung; Abtrag von Festkörperschichten durch chemisches und mechanisches Polieren, um plane Oberflächen zu erreichen, auf denen Metallleiterbahnen definiert werden können; wichtiger Abschlussprozess bei der IC-Herstellung.CVD

Chemical Vapor Deposition, Abscheidung von Isolator- oder Metallschichten auf einem Wafer bei höheren Temperaturen aus der Gasphase. Die chemische Reaktion wird oft durch Unterdruck beschleunigt.

D

Diffusion

In der Mikroelektronik und Photovoltaik meist ein thermischer Prozess, um Dotierstoffe in einen Halbleiter-Wafer einzubringen. Dieses Verfahren wurde weitgehend durch die Ionenimplantation ersetzt.Dotierstoff

Ein Element, das die Leitfähigkeit des Halbleiters im Kristall durch Bereitstellung von freien Löchern (p-Typ) oder von Elektronen (n-Typ) ändert. Silicium erhält eine p-Dotierung meist durch Bor, eine n-Dotierung durch Phosphor, Arsen oder Antimon.Dotierung

Verunreinigung eines Halbleiters durch Dotierstoffe, um dessen elektrische Eigenschaften durch Bereitstellung von n- oder p-Ladungsträgern zu ändern. Die Dotierung erfolgt normalerweise durch Diffusion oder durch Ionenimplantation.Drain

Stark dotierter Bereich in Halbleitersubstraten am Ende des Kanals von Feldeffekttransistoren; durch das Drain fließen die Ladungsträger des Transistors ab.DRIE

Deep Reactive Ion Etching; Verfahren zur Erzeugung tiefer geometrischer Strukturen in Silicium durch reaktives Ionenätzen; wird zur Erzeugung von MEMS-Strukturen benötigt.

E

Epitaxie

Abscheidung von einkristallinem Material in dünner Schicht auf einem einkristallinen Substrat; das epitaxiale Wachstum wird so geführt, dass sich die kristallografische Struktur des Substrats in der Epitaxieschicht fortsetzt; auch Kristalldefekte des Substrats pflanzen sich in diese Schicht fort.Epitaxieschicht

Eine gezielt aufgebrachte (meist dotierte) Kristallschicht gleicher kristallografischer Ausrichtung wie das Substrat (Wafer). Wird auch als Epi-Schicht bezeichnet.

F

FET

Feldeffekttransistor, ein Transistor, in dem der Stromfluss (Source-Drain-Strom) durch eine Spannung am Gate gesteuert wird, das eine MOS-Struktur (MOSFET), ein p-n-Übergang (JFET) oder ein Schottkykontakt (MESFET) sein kann; der FET ist ein unipolarer Transistor, dessen Strom nur aus Majoritätsladungsträgern besteht.FTIR

Fouriertransformierte Infrarotspektroskopie, Verfahren zur Untersuchung von Materialzusammensetzungen durch Analyse ihrer Absorptionsbande unter Verwendung eines Spektrometers mit Fouriertransformation; die Proben müssen gegenüber Infrarotstrahlung transparent sein.

G

Gate

Struktur zur Steuerung des Stromflusses (d. h. des Stroms der Ladungsträger im Kanal) in einem Feldeffekttransistor (FET); bei MOSFET besteht das Gate aus dem Gatekontakt über dünnem Oxid; bei MESFET ist das Gate ein Schottkykontakt; bei JFET: der Metallkontakt eines p-n-Übergangs.Gateoxid

Die dielektrische, meist aus Oxid bestehende Schicht zur Trennung der Gateelektrode eines MOSFET vom darunterliegenden Substrat.Gesamtkosten einer Anlage (TCO)

Alle anfallenden Kosten eines Herstellers für Investitionsgüter über deren Lebensdauer, einschließlich Anschaffungskosten und Kosten für Nutzung und Betrieb.

H

Halbleiter

Material, das sowohl als Leiter als auch als Nichtleiter betrachtet werden kann. Bekannte Halbleiter sind Silicium und Germanium.HDP

Hochdichtes Plasma – Plasma mit hoher Konzentration an freien Elektronen und deshalb auch an Ionen.HDPCVD

High Density Plasma Chemical Vapor Deposition; Abscheide-Verfahren zum Füllen enger Vias in der Halbleiter-Herstellung.Horizontalofen

Ofen zur Hochtemperaturbearbeitung von Halbleiterwafern, in dem das Prozessrohr horizontal liegt und die Wafer im Boot senkrecht auf ihrer Kante stehen; wird verwendet zur thermischen Oxidation, CVD, Diffusion und Temperung; Batchprozesse; alternative Konfiguration: Vertikalofen.

I

ICP

Inductively Coupled Plasma; induktiv gekoppeltes Plasma hoher Dichte für die Halbleiterfertigung, besonders das Ätzen.Implanter

Anlage zur Durchführung von Ionenimplantationen.Ionimplantation

Verfahren zur Eintragung von Dotierstoffen in ein Halbleitermaterial. Geladene Atome (Ionen) werden durch ein elektrisches Feld in das Innere des Halbleitermaterials beschleunigt. Besonders wertvoll für dünne dotierte Bereiche. Dieser Prozess ist sehr viel genauer als eine Dotierung durch Diffusion.

J

JFET

Junction Field Effect Transistor; ein Feldeffekttransistor, dessen Kanal in Tiefe und Leitfähigkeit durch die Weite der Raumladungszone eines p-n-Übergangs gesteuert wird.

K

Kryopumpe

Hochvakuumpumpe für den Druckbereich von etwa 10-3 Torr bis 10-10 Torr; saugt Gasmoleküle aus dem Vakuum durch Kondensation auf kalten Flächen ab; effizientes und sauberes Pumpverfahren; vielfach für die Hochvakuumanlagen der Halbleiterfertigung verwendet.

L

Lithografie

Übertragung eines Musters oder Bildes von einem Medium auf ein anderes, etwa von einer Maske auf einen Wafer. Die Übertragung mit Licht wird als Fotolithografie bezeichnet. Mikrolithografie ist die Übertragung von Bildern mit Details im Submikrometerbereich.LPCVD

Low-Pressure Chemical Vapor Deposition, chemische Abscheidung aus der Dampfphase bei Unterdruck (niedriger als Atmosphärendruck).

M

MBE

Molekularstrahlepitaxie, physikalisches Abscheideverfahren (im Grunde eine Verdampfung) im Ultrahochvakuum (unter 10-8 Torr) bei Substrattemperaturen von typisch nicht über 800 ºC; wegen des ungehinderten (molekularen) Strahls des Auftragmaterials und der chemischen Reinheit der Substratoberfläche können ultradünne Epitaxieschichten sehr genau gesteuert erzeugt werden; Auftragverfahren höchster Präzision der Halbleiterfertigung.MEMS

Micro Electro Mechanical Systems, mikroskopisch kleine elektromechanische Systeme aus Silicium; typisch mit integrierter Mikroelektronik; allgemein unterscheidet man zwischen Mikrosensoren und Mikroaktoren; Wirkungsweise basiert je nach Applikation auf Elektrostriktion, Elektromagnetismus, Thermoelastizität, Piezoelektrizität oder Piezowiderstand.MESFET

Metal Semiconductor Field Effect Transistor; FET mit Metall-Halbleiter-Kontakt (Schottkydiode) als Gate; ermöglicht Feldeffekttransistoren in Halbleitern ohne hochwertiges thermisches Oxid (z.B. GaAs), auf denen deshalb kein MOS-Gate hergestellt werden kann.Metallisierung

Verfahren zur Abscheidung einer dünnen Metallschicht und Ausbildung eines gewünschten Leiterbahnmusters.MOCVD (MOVPE)

Metal Organic Chemical Vapor Deposition; meist ein Epitaxieprozess, die exaktere Bezeichnung lautet MOVPE (Vapor Phase Epitaxy) order OMVPE. Verwendet werden metallorganische Verbindungen als Source; oft verwendetes Verfahren für epitaxiales Wachstum sehr dünner Schichten von III-V-Solarzellen, optoelektronischen Bauteilen und Transistoren.MOSFET

Metal Oxide Semiconductor Field Effect Transistor; FET mit MOS-Struktur als Gate; Strom fließt im Kanal zwischen Source und Drain; der Kanal entsteht nach Anlegen eines ausreichenden Potenzials an den Gatekontakt, das die Ladungsträger aus der Halbleiteroberfläche unter dem Gate verdrängt; MOSFET-Strukturen werden nahezu ausschließlich mit Si und SiO2 als Gateoxid hergestellt; hocheffizientes Schaltelement, vorherrschend in Logik- und Speicherapplikationen; die Kombination von PMOSFET (p-Kanal, n-Siliciumsubstrat) und NMOSFET (n-Kanal, p-Siliciumsubstrat) bildet die CMOS-Basiszelle.MTBF

Mean Time Between Failures, mittlere ausfallfreie Zeit, Kehrwert der Summe der Ausfallraten aller Komponenten eines Systems.

N

n-Halbleiter

Halbleiterkristall mit einer Dotierung durch Atome, die im Kristall je ein zusätzliches Valenzelektron bereitstellen. Diese zusätzlichen negativen Elektronen finden keine freien Bindungsstellen, können sich also frei bewegen und erzeugen so einen elektrischen Strom. Übliche n-Dotierstoffe für Silicium sind Phosphor und Arsen.Nassätzen

Ätzverfahren in der Halbleiterfertigung auf der Basis einer chemischen Reaktion in flüssiger Phase; stark isotrop, kann aber sehr selektiv sein.

O

Ofen

Anlage der Halbleiterfertigung zur Bearbeitung der Wafer bei hohen Temperaturen in genau bestimmter Atmosphäre; enthält massive Heizwicklungen und erlaubt deshalb keine schnellen Änderungen der Wafertemperaturen; Prozess mit hohem Wärmeumsatz; RTP ist eine Alternative mit geringem Wärmeumsatz.OMVPE

Organometallische Dampfphasenepitaxie.Oxid

In der Halbleitertechnologie meist die Bezeichnung für Siliciumdioxid (SiO2).Oxidätzen

Entfernung von Siliciumdioxid.Oxidation

Chemische Verbindung von Sauerstoff mit einem anderen Element. Bei Halbleitern bezeichnet die Oxidation die Vereinigung von Sauerstoff und Silicium zu Siliciumdioxid (SiO2).

P

p-Halbleiter

Halbleiterkristall mit einer Dotierung durch Atome, denen im Kristall je ein Valenzelektron fehlt. Jedes dotierende Atom erzeugt in der Gesamtheit der gebundenen Elektronen eine Lücke, ein sogenanntes Loch. Die Löcher sind positiv geladen, können sich frei bewegen und erzeugen so einen elektrischen Strom. Üblicher p-Dotierstoff für Silicium ist Bor.PECVD

Plasma Enhanced Chemical Vapor Deposition, plasmagestützte CVD bei Unterdruck mit HF-Energie.Photoresist

Lichtempfindliche Flüssigkeit, die als gleichmäßig dünne Schicht auf ein Wafer oder Substrat aufgetragen wird. Nach dem Trocknen wird sie durch eine Maske mit dem gewünschten Muster belichtet. Das nach der Entwicklung verbleibende Material wird auch durch weitere Ätz- oder Implantierschritte nicht entfernt.Physikalisches Ätzen

Sputterätzen; Ätzverfahren mit mechanischer Wechselwirkung (Impulstransfer) zwischen beschleunigten chemisch inerten Ionen (z.B. Ar) und dem geätzten Festkörper; anisotrop, nicht selektiv.Physisorption

Schwächste Form der Adsorption aufgrund rein physikalischer Anziehung (Van-der-Waals-Kraft) zwischen dem Adsorbat und der Oberfläche; zwischen diesen entsteht keine chemische Bindung.Plasma

Elektrisch leitfähiges Gas aus ionisierten Partikeln, mit denen unerwünschtes Material durch chemischen oder physikalischen Beschuss weggeätzt wird. Plasmaätzen erfolgt in einem Reaktor, der als Rohrkammer oder planar aufgebaut sein kann.Plasmaätzen

Trockenätzverfahren, bei dem der Halbleiterwafer in einem Plasma liegt, das den Ätzstoff enthält; die chemische Ätzung erfolgt in allen Richtungen mit der gleichen Ätzrate, ist also isotrop; kann sehr selektiv sein; wird verwendet, wenn die Richtwirkung der Ätzung (Anisotropie) nicht ausschlaggebend ist, z.B. beim Entfernen (Stripping) von Photoresist.Polysilicium

Polykristallines Silicium. Wird auch einfach als Poly bezeichnet. Hier besteht das Silicium aus vielen kleinen Kristallen beliebiger Orientierung. Dotiertes Polysilicium ist elektrisch leitfähig und wird häufig bei Leiterbahnen integrierter Schaltkreise als Alternative zu Metall genutzt.ppb

parts per billion (Teilchen pro Milliarde).ppm

parts per million (Teilchen pro Million).PVD

Physical Vapor Deposition, Abscheidung von dünnen Schichten durch physikalische Materialübertragung (z.B. Verdampfen und Sputtern) in der Gasphase von der Quelle auf das Substrat; die chemische Zusammensetzung des abgeschiedenen Materials ändert sich dabei nicht.

R

Reinraumklasse

Eine US-Spezifikation wie z.B. FED-STD-209, in der Reinheitsklassen durch die Anzahl von Partikeln einer bestimmten Größe und deren Raumdichte definiert sind.Remote Plasma

Art der Plasmabearbeitung, bei der der Wafer außerhalb des Plasmas angebracht und diesem deshalb nicht direkt ausgesetzt ist; um Reaktionen (z.B. Ätzen) zu erreichen, werden ionisierte Radikale aus dem Plasma abgezogen und in Richtung des Wafers beschleunigt; Remote-Plasma-Prozesse schädigen die Oberfläche weniger als der Standardprozess, weil die im Plasma erzeugten Ionen beim Auftreffen auf den Wafer energetisch entspannt sind.RIE

Reactive Ion Etching, Variante des Plasmaätzens, bei der der zu ätzende Halbleiterwafer auf der HF-Elektrode liegt; der Wafer nimmt ein Potenzial an, das ätzende Radikale aus dem Plasma heraus in Richtung der Ätzfläche beschleunigt; chemisches Ätzen erfolgt bevorzugt in der Richtung senkrecht zur Oberfläche, d. h., der Ätzvorgang ist stärker anisotrop als beim Plasmaätzen, aber weniger selektiv; die geätzte Oberfläche wird beschädigt; gängigstes Ätzverfahren bei der Halbleiterfertigung.RTCVD

Rapid Thermal Chemical Vapor Deposition; thermisch beschleunigtes CVD-Verfahren bei hohen Temperaturen, die aber nur kurzzeitig einwirken.RTP

Rapid Thermal Processing; allgemeine Bezeichnung für ein Verfahren, bei dem der Wafer durch Strahlungshitze aus Hochleistungs-Halogenstrahlern schnell erhitzt wird; Prozess mit geringem Wärmeumsatz; zweckmäßig für verschiedene Schritte der Halbleiterbearbeitung, bei denen hohe Temperaturen benötigt werden, aber nicht viel Wärmeenergie auf den Wafer übertragen werden darf.

S

SACVD

Selective Area Chemical Vapor Deposition; CVD-Verfahren mit Abscheidung in dünner Schicht nur auf bestimmten Teilen der Waferoberfläche; die Selektivität ergibt sich aus der chemischen Zusammensetzung der Oberfläche, die lokal geändert werden kann.Sperrschichtmetall

Dünne Metallschicht, z.B. aus TiN, als Zwischenlage zwischen anderen Metallen und Halbleitern (oder Isolatoren), die potenziell schädliche Wechselwirkungen zwischen diesen verhindern soll, z.B. die Bildung von Spikes.Source

Einer der 3 Pole eines Feldeffekttransistors; stark dotierte Region, aus der Majoritätsladungsträger in den Kanal fließen.Schleudern

Verfahren zur Aufbringung des Photoresist auf der Waferoberfläche.Stripping

Verfahren für den Materialabtrag von der Waferoberfläche; diese Art von Abtrag soll normalerweise keine Struktur ergeben; z.B. beim Stripping von Photoresist, wenn der Lack nach Lithografie und Ätzen komplett entfernt wird.

T

Tempern

Wärmebehandlung der Wafer, um Eigenschaften von Materialien/Strukturen nach Aufbringen auf die Oberfläche oder im Volumen wirksam zu machen.TEOS

Tetraethylorthosilicat, Si(OC2H5)4; gasförmige Verbindung, üblicherweise für die CVD von SiO2-Prozessen verwendet (sogenanntes TEOS-Oxid); gute Konturtreue der Schicht; relativ inertes Material, bei Raumtemperatur flüssig; zerfällt thermisch bei etwa 700 ºC zu SiO2; Aktivierung im Plasma senkt die Zerfallstemperatur auf unter 500 ºC.TiN

Titannitrid, leitfähiges Material (spez. Widerstand 30-70 Mikroohm.cm), in der Siliciumtechnologie als Sperrschicht zwischen Silicium und dem Kontaktmetall verwendet; hoher Schmelzpunkt (2950 ºC); Abscheidung durch LPCVD.TLV

Maximal zulässige Konzentration (entspricht dem MAK-Wert).Trägergas

Ein inertes Gas als Träger zur Überführung anderer Elemente in eine Prozesskammer oder ein Prozessrohr.Trockenätzen

Ätzverfahren in der Gasphase; kann rein chemisch (Plasmaätzen), rein physikalisch (Ionenstrahlätzen) oder kombiniert erfolgen (reaktives Ionenätzen, RIE).Trockenpumpe

Vollständig trockene (von Öldämpfen freie) Vorpumpe (bis zu einem Unterdruck von etwa 10-3 Torr); wird aufgrund der Ölfreiheit in modernen Halbleiter-Fertigungsanlagen eingesetzt; trockene Schraubenpumpe: schneckenförmiger Rotor zum Austreiben von Gasmolekülen.

V

Veraschen

Entfernen (durch Verflüchtigen) organischer Materialien (z.B. Photoresist) von der Waferoberfläche durch starke Oxidation; z.B. Veraschung im Sauerstoffplasma.Verbindungshalbleiter

Halbleiter aus einer Verbindung mehrerer Elemente; Verbindungshalbleiter kommen in der Natur nicht vor; sie werden aus Elementen der Gruppen II bis VI des Periodensystems synthetisiert, z.B. aus den Gruppen III und V (III-V-Verbindungen) oder II und VI (II-VI-Verbindungen).Vertikalofen

Ofen zur Hochtemperaturbearbeitung von Halbleiterwafern, in dem das Prozessrohr vertikal steht und die Wafer horizontal im Rohr liegen; dem Horizontalofen wegen gleichmäßigerer Beheizung, Kompatibilität mit automatischer Waferzuführung und geringeren Platzbedarfs überlegen; Verwerfen der horizontal abgestützten Wafer muss verhindert werden; Batchprozesse.Via

Mit leitfähigem Material gefüllter Durchbruch zwischen Leiterbahnschichten.